欢迎进入苏州美明电子科技有限公司官方网站!

苏州美明电子

MeimingIC.com

半导体设备之薄膜沉积设备行业概览
来源: | 作者:chipnews | 发布时间: 2021-11-22 | 1989 次浏览 | 分享到:
全球数字经济发展如火如荼,半导体产业的支撑作用更加突出。物联网、人工智能、汽车电子、智能手机、智能穿戴、云计算、大数据和安防电子等应用领域的强劲需求推动半导体产业规模不断扩大,导致本轮半导体景气周期超预期持续。半导体技术的进步、半导体产业向中国大陆的转移、国产化趋势的明朗和加快,为国内半导体设备特别是核心设备的发展带来了更为广阔的发展空间。

一、半导体设备产业概览
(一)半导体设备分类

半导体设备主要指芯片制造专用设备。先进制程芯片的制造过程工序数以千计,而每一道工艺步骤均需使用不同的专用设备。工艺中使用的主要设备如下:

图1:半导体设备分类

图2:集成电路前道制造工艺七大流程对应主要设备
(二)半导体设备市场规模

根据国际半导体产业协会(SEMI)数据显示,2020年全球半导体设备销售额约为 711亿美元,同比增长19.2%。其中:

按地区分,2020 年中国大陆、中国台湾、韩国三大地区占据了半导体设备市场约73%销售份额,其中:中国大陆销售额 187.2 亿美元,同比增长 39.2%,约占全球份额的 26%,位居全球第一位;中国台湾销售额171.5亿美元,约占全球份额的 24%;韩国销售额160.8亿美元,约占全球份额的23%。

按设备种类分,前道工艺设备销售额为612亿美元,约占全部设备市场份额的86.1%;测试设备销售额 60.1 亿美元,约占全部设备市场份额的 8.5%;封装设备 38.5 亿美元,约占全部设备市场份额的 5.4%。主要工艺设备涉及的市场份额如下:

资料来源:SEMI,Gartner,东兴证券研究所报告

图3:2020年各类半导体设备市场份额(亿美元)

由以上数据可见,薄膜沉积设备、刻蚀设备、光刻设备销售额高达438.9亿美元,约占半导体设备全部市场份额的61.73%,占前道工艺设备市场份额的71.72%,是芯片制造的三大核心设备。

受益于下游需求的高度景气,半导体设备市场空间稳定增长。据 SEMI 预测, 2021 年和 2022 年全球半导体设备销售额将分别达到 953 和 1013 亿美元,同比增长34.1%和 6.3%。

(三)半导体设备市场格局
1.国外企业仍占市场主导地位

全球范围内的半导体设备龙头企业以美国、日本和欧洲公司为主,呈现出寡头垄断格局。半导体专用设备行业的国际巨头企业的市场占有率很高,特别是在光刻机、检测设备、离子注入设备等方面处于垄断地位,且其在大部分技术领域已采取了知识产权保护措施。全球前十大半导体设备厂商及2020年销售额(单位:亿美元)       


表1:2020年全球前十大半导体设备厂商销售额(亿美元)

 2.部分领域实现国产替代
由于国家政策大力支持和全球市场格局改善,国内半导体设备厂商产品线逐渐完善,逐步打破国外垄断,在部分领域实现了进口替代。根据本土主要晶圆厂设备采购情况的统计数据,目前国产化率最高的为去胶设备,达到90%以上;刻蚀设备、清洗设备、热处理设备国产化率可达到20%左右;PVD设备、CMP设备国产化率较低,约为10%。另国内半导体设备厂商还在涂胶显影设备、光刻设备、离子注入机、量测设备等领域实现了零的突破。但国内4 条 12 寸晶圆产线的整体国产化率仅约 13%,显示在高端制程领域国内半导体设备产业更需奋起直追。
3.国内企业发展提速
在半导体设备国产化提速的背景下,国内半导体设备厂商收入实现快速增长。在国内主要半导体设备公司中,北方华创2020年营业收入达60.56亿元,预计2021年营收将超过80亿元,目前市值超过2000亿元;中微公司2020年营业收入22.73亿元,预计2021年营收将超过30亿元,目前市值超过1000亿元;此外,华海清科、盛美上海、拓荆科技已通过科创板首发申请,屹唐股份已提交IPO申请,意图通过资本市场支持加速发展。

表2:国内主要半导体设备厂商情况概览

在半导体三大核心设备中,被荷兰ASML垄断的高端光刻机常见诸报道,今天国华君重点介绍在半导体制造设备中市场规模占比最高的薄膜沉积设备。

二、薄膜沉积设备行业概览
(一)薄膜沉积设备分类

薄膜沉积是指在硅片等衬底上沉积待处理的薄膜材料,所沉积薄膜材料主要是二氧化硅、氮化硅、多晶硅等非金属以及铜等金属,沉积膜可为无定形、多晶的或者单晶。薄膜沉积设备主要负责各工艺步骤中的介质层与金属层的沉积,包括CVD(化学气相沉积)、PVD(物理气相沉积)和ALD(原子层沉积)等,其中ALD属于CVD的分支。按照沉积工艺不同,薄膜沉积设备分为CVD设备、PVD设备和ALD设备。 

图4:薄膜沉积设备分类

1.物理气相沉积(PVD)设备

 物理气相沉积(Physical Vapor Deposition)是以物理机制来进行薄膜沉积技术,过程不涉及化学反应,主要包括蒸镀、溅镀、电弧等离子体镀膜、离子镀膜、分子束外延镀膜等几大类。

蒸镀是指在高真空腔体中通过电阻、电子束、高频感应、电弧和激光等蒸镀源对蒸镀材料进行加热,使之达到熔化、气化温度,使蒸镀材料的原子或分子从其表面气化逸出形成蒸汽流,入射到待蒸镀基板表面,凝结形成固态薄膜的的一种镀膜技术。蒸镀技术适用范围广,可在金属、半导体、绝缘体甚至塑料、纸张、织物表面上沉积金属、半导体、绝缘体、不同成分比的合金、化合物及部分有机聚合物等的薄膜,可以不同的沉积速率、不同的基板温度和不同的蒸气分子入射角蒸镀成膜,易于在线检测和控制薄膜的厚度与成分,因而可得到不同显微结构和结晶形态(单晶、多晶或非晶等)的纯度较高的薄膜。

溅镀通常指磁控溅镀,是指利用带电荷的粒子在电场中加速后具有一定动能的特点,在1.3×10-3Pa的真空状态充入惰性气体,并在基材(阳极)和金属靶材(阴极)之间加上高压直流电,由于辉光放电(glow discharge)产生的电子激发惰性气体,产生等离子体,将金属靶材的原子轰出,沉积在基材上。与蒸镀相比,溅镀具有电镀层与基材结合力强、附着力高,电镀层致密均匀等优点,缺点是工艺成本相对较高。

分子束外延(MBE)是是一种特殊的真空镀膜工艺,即沿衬底材料晶轴方向逐层生长薄膜。该技术的优点是使用的衬底温度低,膜层生长速率慢,束流强度易于精确控制,膜层组分和掺杂浓度可随源的变化而迅速调整。分子束外延可制备几十个原子层的单晶薄膜,以及交替生长不同组分、不同掺杂的薄膜而形成超薄层量子显微结构材料

使用物理气相沉积(PVD)设备镀膜可以达成快速的沉积速率和准确的沉积厚度控制,制备的薄膜致密性好、粘结力强及纯净度高,因此是目前半导体工业所大量采用的薄膜制作方式。但相较于化学气相沉积(CVD),物理气相沉积(PVD)在小线宽的薄膜沉积方面阶梯覆盖率(Step Coverage)较差。

2.化学气相沉积(CVD)设备

化学气相沉积(Chemical Vapor Deposition)是通过化学反应的方式,利用加热、等离子或光辐射等各种能源,在反应器内使气态或蒸汽状态的化学物质在气相或气固界面上经化学反应形成固态沉积物的技术,是一种通过气体混合的化学反应在硅片表面沉积薄膜的工艺,可应用于绝缘薄膜、硬掩模层以及金属膜层的沉积。CVD设备则由气相反应室、能量系统(加热或射频)、反应气体控制系统、真空系统及废气处理装置等组成。常用 CVD 设备如下,主要适用于不同工艺节点对膜质量、厚度以及孔隙沟槽填充能力等的不同要求:

(1)常压化学气相沉积(APCVD)是最早的CVD设备,结构简单、沉积速率高。

(2)次常压化学气相沉积(SACVD)由于反应腔环境具有特有的高温(400-550℃)、高压(30-600Torr)环境,具有快速填空(Gapfill)能力,因此主要应用于沟槽填充工艺。

(3)低压化学气相沉积(LPCVD)由APCVD发展而来,由于其工作压力大大降低,薄膜的均匀性和沟槽覆盖填充能力有所改善,相比APCVD的应用更为广泛。

(4)等离子增强化学气相沉积(PECVD)由于等离子体的作用,化学反应温度明显降低,薄膜纯度得到提高,致密度得以加强,不伤害芯片已完成的电路,因此在从亚微米发展到90nm的IC制造技术过程中发挥着重要作用。

(5)金属有机气相沉积 (MOCVD)技术主要用于制备半导体光电子、微电子器件领域的各种Ⅲ-Ⅴ族化合物(GaAs、GaN)和Ⅱ-Ⅵ族化合物(ZnSe)半导体单晶材料。目前,在化合物半导体量子阱、超晶格和异质结等低维结构的制备中,MOCVD越来越成为主要手段,并在化合物半导体LED、激光器、高频电子器件和太阳电池等领域具有规模化生产的能力。

3.原子层沉积(ALD)设备

原子层沉积(ALD)是可以将物质以单原子膜形式过循环反应逐层沉积在基底表面,形成对复杂形貌的基底表面全覆盖成膜的方法。原子层沉积与普通的化学沉积有相似之处,但在原子层沉积过程中,新一层原子膜的化学反应是直接与之前一层相关联,因此该方式每次反应只沉积一层原子。在ALD工艺过程中,通过将不同的反应前驱体以气体脉冲的形式交替送入反应室中,因此具有自限制生长的特点,可精确控制薄膜的厚度,制备的薄膜具有均匀的厚度和优异的一致性,台阶覆盖率高,特别适合深槽结构中的薄膜生长,对于多维结构体表面精确成膜需求具有不可替代的应用。由于 ALD 设备可以实现高深宽比、极窄沟槽开口的优异台阶覆盖率及精确薄膜厚度控制,因此在结构复杂、薄膜厚度要求精准的先进逻辑芯片、DRAM 和 3D NAND 制造中,ALD 是必不可少的核心设备之一。

(二)薄膜沉积设备特点

1.研制技术难度大

薄膜沉积设备设计需综合运用有机化学、无机化学、化学工程与工艺、半导体物理、等离子体物理固体力学、流体力学、电气控制及自动化、软件工程、机械工程等多个学科。设备的反应腔设计、腔体内关键件设计、气路设计、温度控制及射频控制需要同时对基础理论知识、整机设计思路和产线工艺均具有深刻理解。薄膜沉积设备还需针对集成电路制造不同技术路线及不同工序所需薄膜材料的物理、化学性质,进行差异化工艺开发,以实现不同材料的沉积功能,技术壁垒极高。

2.产业化验证周期长

薄膜是芯片结构的功能材料层,会留存在完成制造、封测的芯片中,直接影响芯片性能。生产中不仅需要在成膜后检测薄膜厚度、均匀性、光学系数、机械应力及颗粒度等性能指标,还需要在完成晶圆生产流程及芯片封装后,对最终芯片产品进行可靠性和生命周期测试,以衡量薄膜沉积设备生产的产品是否最终满足技术标准,因此晶圆厂验证时间较长。

3.对先进工艺重要度高

薄膜沉积设备是集成电路制造的核心设备之一。随着集成电路制造不断向更先进工艺发展,单位面积集成的电路规模不断扩大,芯片内部立体结构日趋复杂,所需要的薄膜层数越来越多,对绝缘介质薄膜、导电金属薄膜的材料种类和性能参数不断提出新的要求。90nm CMOS工艺 大约需要40道薄膜沉积工序。3nm FinFET 工艺产线,则超过100道薄膜沉积工序,涉及的薄膜材料由 种增加到近20种,对于薄膜颗粒的要求也由微米级提高到纳米级。只有薄膜沉积设备的不断创新和进步才能支撑集成电路制造工艺向更小制程发展。

(三)薄膜沉积设备市场空间

新建晶圆厂设备投资中,前道(晶圆制造)设备投资额约占总体设备投资的80%,而薄膜沉积设备作为晶圆制造的三大主设备之一,其投资规模占晶圆制造设备总投资的 25%。2020 年全球半导薄膜沉积设备市场规模约为 172 亿美元,2025 年有望达到 340 亿美元。

图5:全球半导体薄膜沉积设备市场规模

分类别来看,PECVD 是薄膜沉积设备中占比最高的设备类型,占比 33% PVD(含溅射 PVD 和电镀 ECD )占比 23%APCVD 占比 12%LPCVD ALD 设备占 比 11%MOCVD 占比 4%,其他合计占比 6%

图6:全球各类半导体薄膜沉积设备市场规模占比

(四)薄膜沉积设备市场竞争格局

1.全球市场份额高度垄断

整体而言,全球半导体薄膜沉积设备市场由应用材料(AMAT)、泛林半导体(Lam)和东京电子(TEL) 形成垄断,市占率分别为42%、19%和14%,市场较为集中。应用材料(AMAT)开创了有利于晶体管互联的沉积技术,可以帮助3D晶体管提升功率和性能,同时其PVD和CVD技术也成功地应用于平板显示器领域。其中:

根据Gartner数据,2019年全球PVD设备市场规模26.8亿美元,预计到2024年市场规模38.1亿美元,2019-2024年复合增速7.29%。全球PVD设备市场高度垄断,主要供应商是应用材料(AMAT),其市场份额占比长期达80%以上,处于绝对龙头地位,其他知名度较高的供应商还有瑞士Evatec、日本爱发科、科磊半导体(KLA)和东京电子(TEL)等。中国PVD设备龙头企业北方华创占据全球3% 。

CVD设备是应用最广泛的薄膜沉积设备,主要被美国和日本企业垄断。根据Gartner数据,2019年全球CVD设备市场规模72.6亿美元,预计到2024年市场规模达103.3亿美元,2019-2024年复合增速7.31%。在2019年全球 CVD 设备市场中,应用材料(AMAT)占比约为 30%,连同泛林半导体(Lam) 的 21%和东京电子(TEL) 的 19%,三大厂商合计占据全球 70%的市场份额,除此之外还有先晶半导体(ASMI)和国际电气(Kokusai),合计占比超过85%。

2019 年,在全球ALD 设备市场中,东京电子(TEL)和先晶半导体(ASMI)分别占据了 31%和 29%的市场份额。

图7:全球主要半导体薄膜沉积设备厂商市场规模占比

2.国内企业积极拓展市场

北方华创和沈阳拓荆是国内半导体薄膜沉积设备的主要供应商。其中北方华创是国内PVD设备的唯一供应商,其自主研发的TiN PVD12寸掩膜设备侧重于28-55nm制程,多个PVD工艺产品进入国际市场,可实现对应用材料设备的部分替代,全球市占率达3%,在部分国内12英寸产线的PVD设备中供货占比超过20%,是仅次于AMAT的第二大PVD供应商,其同时也积极布局CVD领域,目前在LPCVD、APCVD领域已开发出多项产品,但主要是 8 英寸以下设备,ALD设备已成功实现商用,并实现少量供货。沈阳拓荆拥有SACVD、12英寸PECVD和ALD三个CVD系列品类,其中 PECVD 开发较早也较为成熟,可实现对国外厂商的部分替代,2020年累计出货量超过100台,进入中芯国际、华虹、长江存储等一线厂商。盛美半导体等则实现了LPCVD的国产化。

此外,中微公司研发了用于LED制造的MOCVD设备,2018年彻底打破了维易科和爱思强在MOCVD设备的垄断地位,占全球新增氮化镓基LED MOCVD 市场份额高达41%,下半年全球占比更是超过60%,占有量全球第一,2020年实现营收4.96亿元,成为公司主营业务之一,同时,其也在积极布局CVD设备及工艺应用的开发。

3.物理气相沉积(PVD)设备相对落后

我国物理气相沉积技术研究与应用起步较晚,与国际巨头相比,国内PVD设备生产企业在技术领域还存在较大差距,在国内市场中占有率低,目前尚不足10%。但在下游市场需求的推动下,我国国产PVD设备性能与质量正在不断提升,已获得部分国内客户认可,国产化率有望进一步提高。

三、薄膜沉积设备市场国内外主要厂商

(一)应用材料(AMAT)

应用材料成立于 1967 年,系美国纳斯达克证券交易所上市公司(股票代码:AMAT),主要产品包括原子层沉积设备、化学薄膜沉积设备、电化学沉积设备、物理薄膜沉积设备、刻蚀设备、快速热处理设备、离子注入机、化学机械抛光设备等。应用材料(AMAT)是世界上最大的半导体装备供应商,可提供前道工艺除光刻机外的全系列的专用装备,泛半导体装备包含半导体及封装、太阳能和 LED 等领域。

(二)泛林半导体(Lam)

泛林半导体成立于 1980 年,系美国纳斯达克证券交易所上市公司(股票代码:LRCX),主要产品包括刻蚀设备、薄膜沉积设备、晶圆清洗设备、光致抗蚀设备等。泛林半导体(Lam)为世界上第三大半导体装备供应商,仅次于应用材料 (AMAT)及光刻机专供商 ASML,产品着重在薄膜沉积、等离子刻蚀、光阻去除、晶片清洗等半导体前道工艺和封装应用。

(三)东京电子(TEL)

东京电子成立于 1963 年,系东京证券交易所上市公司(股票代码:8035.TYO),主要产品包括显像设备、热处理成膜设备、干法刻蚀设备、湿法清洗设备及测试设备及平板液晶显示设备等。东京电子(TEL)是全球最大的半导体制造设备、液晶显示器制造设备制造商之一,其垄断了国内集成电路制造前道晶圆加工环节用涂胶显影设备。

(四)先晶半导体(ASMI)

先晶半导体(ASMI)成立于 1968 年,系阿姆斯特丹泛欧交易所上市公司(股票代码:ASM),产品涵盖了晶圆加工技术的重要方面,包括光刻、沉积、离子注入和单晶圆外延。近年来,公司将原子层沉积(ALD)和等离子体增强原子层沉积(PEALD)引入先进制造商的主流生产。先晶半导体(ASMI)是全球十大半导体设备供应商之一,占半导体设备市场份额的 3%该公司在原子层沉积领域较为突出,占有原子层沉积领域全球市场份额的 29%,仅次于东京电子(TEL)占有的 31%

(五)北方华创

北方华创是国内最大的半导体设备平台公司,为A股上市公司(股票代码002371)。主营业务覆盖半导体设备、真空设备、新能源锂电设备及精密元器件等产品线,其中半导体设备覆盖集成电路、照明、电源管理、光伏、显示等 5 大领域。公司集成电路工艺设备包括 5 大类:硅基刻蚀、PVD、ALD、热处理、清洗设备。主要客户包括中芯国际、长江存储、华虹集团、隆基股份、三安光电等。

北方华创是目前国内唯一覆盖 PVD 设备的半导体设备厂商,其设备覆盖钝化层、铜互连、 Hardmask 等 90%以上的 PVD 工艺,可面向集成电路 IC、先进封装、微机电系统 MEMS、LED、功率器件等领域。据北方华创官网消息,公司的 PVD 设备被国内先进集成电路制造企业指定为 28nm 制程 baseline 机台,并成功进入国际供应链体系,所研发的 PVD 设备达到 14nm 级别。

(六)沈阳拓荆

沈阳拓荆是由海外专家团队和中科院所属企业共同发起成立的国家高新技术企业,已获准在科创板上市。公司主要从事纳米级镀膜设备及其零部件的研发、设计、制造及技术咨询与服务,拥有 12 英寸 PECVD(等离子体化学气相沉积设备)、ALD(原子层薄膜沉积设备)、SACVD(次常压化学气相沉积设备)三个完整系列产品,广泛应用于集成电路前道和后道、TSV 封装、光波导、Micro-LED、3D-NAND 闪存、OLED 显示等高端技术领域。

沈阳拓荆产品已进入国内主流晶圆产线,成功应用于中芯国际、华虹集团、长江存储、厦门联芯、燕东微电子等行业领先集成电路制造企业产线,产品已适配国内最先进的 28/14nm 逻辑芯片、19/17nm DRAM 芯片和 64/128 层 3D NAND FLASH 晶圆制造产线,2.5D、3D 先进封装及其他泛半导体领域。在先进制程方面,公司的 PECVD 设备已发货某国际领先晶圆厂先进研发产线,ALD 设备已销往国内 14nm 研发产线,产品技术参数达到国际同类设备水平。

(七)鹏城半导体

 鹏城半导体依托于哈尔滨工业大学(深圳)及有多年实践经验的工程师团队发起创建,核心业务是半导体材料、工艺和装备的研发设计和生产制造。公司立足于技术前沿和市场前沿的交叉点,解决产业通电和国产化难题,争取产业链的自主可控,团队核心成员主导设计制造的PVD、CVD、MBE系列设备多年来已在科研所和院校实验场景获得持续使用,目前致力于太阳能薄膜电池设备、OLED真空镀膜工艺装备等产品的产业化应用。

四、薄膜沉积设备市场展望

(一)半导体行业景气度带动设备需求增长

随着半导体行业整体景气度的提升,全球半导体设备市场呈现快速增长态势,依托庞大的终端应用市场需求,中国大陆半导体产业的规模持续快速增长,其中集成电路产业的发展尤为迅速,必将带动作为核心设备额薄膜沉积设备需求的增加。根据Maximize Market Research 预计,全球半导体薄膜沉积设备市场规模在 2025 年将从 2020 年的 172 亿美元扩大至 340 亿美元,保持年复合 13.3%的增长速度。

(二)晶圆产线产能扩充拉动设备投资增加

根据 SEMI 于2020 年 6 月发布的《全球晶圆厂预测报告》,2020 年全球新建晶圆厂 21 座,其中中国大陆 9 座、台湾 5 座;2021 年全球预计开工 18 个晶圆厂,其中包括中国大陆 10 座、台湾 3 座。新晶圆厂从建立到生产的周期大概为 2 年,未来几年将是中国大陆 导体产业的快速发展期。根据中国国际招投标网公布的信息,长江存储、上海华力、华虹无锡、上海积塔、中芯绍兴、合肥晶合等中国本土晶圆厂均在加大设备采购力度,将带来薄膜沉积设备的需求增长。

(三)摩尔定律作用促使设备需求旺盛

摩尔定律推动元器件集成度大幅提高,要求集成电路线宽不断缩小,导致生产技术与制造工序更加复杂。当技术节点向 5 纳米甚至更小方向升级时,普通光刻机受波长限制,精度已无法满足工艺要求。因此,集成电路的制造需要采用昂贵的极紫外光刻机,或采用多重曝光工艺,重复多次薄膜沉积和刻蚀工序以实现更小的线宽,使得薄膜沉积和刻蚀次数显著增加,集成电路制造企业需投入更多且更先进的光刻机、刻蚀设备和薄膜沉积设备。

(四)薄膜要求提高衍生新设备需求

在晶圆制造过程中,薄膜发挥着形成导电层或绝缘层、阻挡污染物和杂质渗透、提高吸光率、阻挡刻蚀等重要作用。由于芯片的线宽越来越窄、结构越来越复杂,薄膜性能参数精细化要求也随之提高,如先进制程的前段工艺对薄膜均匀性、颗粒数量控制、金属污染控制的要求逐步提高,台阶覆盖能力强、薄膜厚度控制精准的 ALD 设备,高深宽比沟槽孔洞填充能力强,沉积速度快的 SACVD 等新设备因此被引入产线。

(五)先进制程增加导致设备市场攀升

近年来,晶圆制造的复杂度和工序量大大提升,以逻辑芯片为例,随着 90nm 以下制程的产线数量增多,尤其是 28nm 及以下工艺的产线引入多重曝光技术后,工序数和设备数均大幅提高;在 FLASH 存储芯片领域,主流制造工艺已由 2D NAND 发展为 3D NAND 结构,内部层数不断增高;此外,随着5G 通信技术、数据中心、智慧城市、汽车电子、人工智能等下游市场需求爆发,用于高性能数字电路或对低功耗集成电路的先进制程产线占比将进一步提高,均将带来薄膜沉积设备市场规模的稳步攀升。

 

全球电子化进程的加快导致我国半导体消费市场规模不断扩大,国家半导体行业鼓励政策不断推出,我国半导体尤其是集成电路行业迎来蓬勃发展期。同时,外部挑战也在倒逼我国半导体行业提高产业链发展水平。虽然目前我国半导体设备存在自给率低,需求缺口较大,先进制程和先进工艺设备与国际顶尖水平尚存在差距等问题,但随着晶圆厂产能紧缺,国内加速半导体产业发展布局,使国内厂商研发的半导体专用设备在本土晶圆产线获得工艺验证和应用机会。预计在内外部因素共同推动下,国内半导体生态圈将逐步完善,薄膜沉积设备行业也将持续实现高成长。